Filtrér

Mine seneste søgninger
Filtrer ved:
Budget
til
til
til
Slags
Færdigheder
Sprog
    Job-status
    53 karnaugh jobs fundet, i prisklassen EUR

    Need assistants with answering approximately 12 questions within 1 hour. I. PROBLEM SOLVING. Perform the required operations. Show your solutions. No solutions 1. Convert the following numbers in binary (2’s complement) a. -123 b. -43 c. -1022 d. -11 2. 2. Perform Booth’s Algorithm for the following: a. 6 x 4 b. 6 x -4 3. Draw the logic circui...following: a. 6 x 4 b. 6 x -4 3. Draw the logic circuit for the following: a. xy + xy’ + x’z b. (A + B)(B + C)(C’ + A’) c. A’B’C’D + AB’C’D + ABC’D + ABCD 4. Given the logic function of F(A, B, C, O) = ∑(1, 3, 5, 9, 10), simplify using K-map 5. Given the logic function of F(A, B, C, D) = ∑m(0, 1, 3, 4, 5, 7, 8, 10, 12, 14, 15) a. Show the truth tab...

    €5 / hr (Avg Bid)
    €5 / hr Gns Bud
    11 bud

    Binary, Hex, Octal Integer Representation,Boolean Algebra,Karnaugh Maps . Expert must PM me for more details

    €13 (Avg Bid)
    €13 Gns Bud
    16 bud

    Necesito alguien que sepa sobre arquitectura sobre sistemas, que haya estudiado electrónica y tenga los conocimientos frescos sobre los siguientes temas: 1) Conversión de distintas bases, Binario, Hexadecimal, Octal, Mó...arquitectura sobre sistemas, que haya estudiado electrónica y tenga los conocimientos frescos sobre los siguientes temas: 1) Conversión de distintas bases, Binario, Hexadecimal, Octal, Módulo y Signo (Complemento a 1, complemento a 2), Exceso 2^(n-1), Exceso 2^(n-1) - 1, nros de punto fijo y flotante 2) Álgebra de Bool (simplificaciones, reducciones, dibujar los circuitos, Canónicas, Circuitos, Karnaugh) 3) Máquinas de Estado 4) Memorias 5) Arquitectura básica 6) Circuitos combinacionales 7) Ci...

    €23 (Avg Bid)
    €23 Gns Bud
    4 bud

    topics covered: waveforms, filling in truth table for boolean functions, karnaugh maps, minimal SOP/POS, simplify boolean expressions., identifying prime implicants - Must be expert with logic.

    €74 (Avg Bid)
    €74 Gns Bud
    8 bud

    Hello, Looking for someone who can assist as being a (t)utor/(t)eacher in excersises using circuit diagrams. This includes Latches, flip-flops, karnaugh maps, clocks, registers, etc. I use a software for building them and need to figure out solutions to certain scenarios.

    €14 / hr (Avg Bid)
    €14 / hr Gns Bud
    15 bud
    Lojik devre projesi Udløbet left

    Merhaba siparişini vereceğim iş bir lojik devre projesi ama içinde sadece devre simülasyonu yok. Durum diyagramı, durum tablosu, karnaugh sadeleştirmeleri de içeriyor. Detaylı açıklamaları içeren proje dosyasını ilana ekliyorum

    €14 (Avg Bid)
    €14 Gns Bud
    2 bud

    Bonjour, Je rechercher un freelancer SEULEMENT français, qui pourra me faire un exercice plutôt simple axé sur le code binaire, il y a plusieurs thème à utilisés comme la table de Karnaugh et des circuits à effectuer avec le code binaire en : NOT, AND, OR. Je ne mets pas directement le fichier, je vous donnerais le fichier après vous avoir contacté. J’ai besoin d’une personne qui connaisses les bases car je n’ai pas besoin d’un travail très élaborée.

    €28 (Avg Bid)
    €28 Gns Bud
    2 bud

    1-state the truth table 2-list the minterm and maxterm 3-Express SOM and POM 4-simplifi using simplification 5-express sop and pos 6-simplify the expression with 3 karnaugh map 7-draw the complete logic circuits after simplifying with (gate)

    €11 / hr (Avg Bid)
    €11 / hr Gns Bud
    5 bud
    Computer Language Udløbet left

    I am looking for someone who is knowledgeable in computer language or computer organization and design which includes functions, adders, inverts, decoders, ALU (arithmetic Logic Units), comparator, Karnaugh maps, MIPs, loading and storing, conditional branching, system call, control structures, and etc.

    €112 (Avg Bid)
    €112 Gns Bud
    10 bud

    Hi, I've assignment to Design Karnaugh Map using Python. Please bid only if you're good in Python GUI and have knowledge about Karnaugh Map.

    €4 / hr (Avg Bid)
    €4 / hr Gns Bud
    6 bud

    Need a python developer who can generate Karnaugh MAP for minimized boolean expression on GUI. Please see the attached image and bid only if you can do this.

    €4 / hr (Avg Bid)
    €4 / hr Gns Bud
    2 bud

    A simple program of Karnaugh Map (2 to 4 variables) using Netbeans Software. Method of tabulation would be by using Quine-McCluskey ()

    €400 (Avg Bid)
    €400 Gns Bud
    12 bud

    Planning and design: a GUI for the application to allow user input for the values of the Karnaugh map or to enter a Boolean expression. an algorithm that will map a 16 square map with the coordinates provided, in addition to removing redundant geometries and displaying minimised form of the original expression. an algorithm to convert a Boolean expression into a 16 square Karnaugh map.

    €366 (Avg Bid)
    €366 Gns Bud
    8 bud
    Digital logic Udløbet left

    you Need to make digital logic design of lift in around 10 or 7 pages , which will have . 1. Flow chart 2. digram states table of karnaugh map of states of the evaluator(lift) in circuit and for more information you can contact me I have one project like this it is 3 bit and it has 6 states you can work on that and make it 4 bits and 10 states or 15

    €42 (Avg Bid)
    €42 Gns Bud
    12 bud

    you Need to make digital logic design of lift in around 10 or 7 pages , which will have . 1. Flow chart 2. digram states table of karnaugh map of states of the evaluator(lift) in circuit and for more information you can contact me

    €34 (Avg Bid)
    €34 Gns Bud
    9 bud

    A Karnaugh map (K-map) is a pictorial method used to minimize Boolean expressions without having to use Boolean algebra theorems and equation manipulations. A K-map can be thought of as a special version of a truth table . Using a K-map, expressions with two to four variables are easily minimized. I have attached a sample .exe file to illustrate the kmap.

    €147 (Avg Bid)
    €147 Gns Bud
    8 bud

    ...assignments, the netlists are equivalent. Otherwise, the netlists are not equivalent. 3. Create a CNF(characteristic function) from the miter, (The characteristic function of a boolean function is a function which takes all variables (inputs and outputs) as function parameters. The function result shall be one if the parameters represent a valid variable assignment for that gate. It is also known as karnaugh-map. 4. solve the CNF (Davis Putnam algorithm), solving the equations of the CNF by taking last variable by either taking as 0 or 1. For more understanding on Davis putnam refer PDF. 5. and output the result (either “equivalent” or a not equivalent and if not equivalent then print a counter example). Please refer PDF which is attached for more detaile...

    €115 (Avg Bid)
    €115 Gns Bud
    4 bud

    A Karnaugh map (K-map) is a pictorial method used to minimize Boolean expressions without having to use Boolean algebra theorems and equation manipulations. A K-map can be thought of as a special version of a truth table . Using a K-map, expressions with two to four variables are easily minimized. I have attached a sample .exe file to illustrate the kmap.

    €32 (Avg Bid)
    €32 Gns Bud
    6 bud

    ...what your circuits do and how they do it. For example, you could explain any algorithms you implemented, any conditions or restrictions the user must observe to use the circuits, and the high level structure of your circuits at the block diagram level. c) Design details: This subsection is where you can go into the details of your design. It should contain any logical expressions you use, any Karnaugh maps or algebraic simplifications you performed, and any tables or state diagrams for sequential circuits. It should explain design techniques if they are not selfexplanatory. It should refer to the detailed documentation (such as schematic diagrams) explicitly. This section should also contain a description of any unusual problems you had and how you solved them. d) Schemat...

    €144 (Avg Bid)
    Haster
    €144 Gns Bud
    2 bud

    Necesito un Programa de Labview que Haga un mapa de Karnaugh donde se le pueda poner El numero de variables que sea, y muestre en un arreglo 2D la Tabla de Verdad, Osea, Todas las posibles combinaciones (000,001, etc)

    €24 (Avg Bid)
    €24 Gns Bud
    1 bud
    Labview Program Udløbet left

    A Karnaugh Map , with n number of Variables , who can show a True Table (Of all the Combinations) Example: 000..001..010

    €17 (Avg Bid)
    €17 Gns Bud
    2 bud

    You need to do the following things: 1. Compile a truth table for the BCD to 7-segment decoder 2. Derive minimised logic equations showing the dependence of the decoder outputs ‘a to g’, on BCD inputs ‘A to B’, in NAND only form. The method for minimisation must be the Karnaugh map. 3. Illustrate your circuit designs using a schematic diagram created in ISIS. 4. Simulate your circuit designs from ISIS using Proteus VSM, to confirm correct operation. 5. Produce appropriate PCB layout for the design using Proteus. 6. Document your results in a report.

    €96 (Avg Bid)
    €96 Gns Bud
    14 bud

    I need combinational logic unit build in Multimedia Logic. Enter 2 digit in (octal system) and display as decimal in 7segment display I need karnaugh table of minimalization and project in Multimedia Logic

    €24 (Avg Bid)
    €24 Gns Bud
    11 bud
    Circuit Design Udløbet left

    Q1. Design, implement and verify a digital circuit that indicates whether a 4-bit unsigned number is triangular or not (see Wikipedia). The steps are: (a) State the truth table for the circuit. (b) State the Boolean expression for a standard Sum Of Products implementation of the circuit. (c) Implement and verify the standard Sum Of Products circuit in Logisim. (d) Using Karnaugh maps, obtain a minimal Sum Of Products Boolean expression for the circuit. (e) Implement and verify the minimal Sum Of Products circuit in Logisim, (f) Determine the area of the two implementations in terms of Gate Equivalents. (g) Determine the delay of the two implementations in terms of Gate Equivalents. (h) What are the percentage area and delay savings of the smaller and faster circuits? Subm...

    €65 (Avg Bid)
    €65 Gns Bud
    15 bud
    Digital Systems Udløbet left

    Q1. Design, implement and verify a digital circuit that indicates whether a 4-bit unsigned number is triangular or not (see Wikipedia). The steps are: (a) State the truth table for the circuit. (b) State the Boolean expression for a standard Sum Of Products implementation of the circuit. (c) Implement and verify the standard Sum Of Products circuit in Logisim. (d) Using Karnaugh maps, obtain a minimal Sum Of Products Boolean expression for the circuit. (e) Implement and verify the minimal Sum Of Products circuit in Logisim, (f) Determine the area of the two implementations in terms of Gate Equivalents. (g) Determine the delay of the two implementations in terms of Gate Equivalents. (h) What are the percentage area and delay savings of the smaller and fa...

    €124 (Avg Bid)
    €124 Gns Bud
    4 bud

    Vær venlig at Tilmelde dig eller Log ind for at se detaljer.

    Fremhævet

    You will be required to create a small tutorial detailing the Simplification of Boolean algebra. You'll be given Two equations to simplify and 1 karnaugh map to create a equation from and simplify. Each step in the workings must be explained(as in for teaching a new student). This should be delivered on a word document or Pdf file.

    €20 (Avg Bid)
    €20 Gns Bud
    9 bud

    Proiectul consta in ceea ce este scris si in titlu, pe langa simulare in Orcad trebuie sa mai cuprinda si un document scris cu tabele de adevar, diagrame Veitch-Karnaugh, functii booleene si graful de fluenta al automatului finit folosit. De exemplu: automat de cafea, bancomat.

    €104 (Avg Bid)
    €104 Gns Bud
    4 bud

    Select logic function of four variables f (A, B, C, D) = E (2,3,7,9). It should be realized in the form of a full-function, and then minimized by Karnaugh and realize as a minimum. Both systems need to simulate in the EWB512 (Electronic Wo. Provide documentation - all included in the calculations, diagrams and screenshots for both systems received (ie, full and minimum). In a nutshell: - Truth table - Print the pattern - Scheme in the Electronic Workshop - Minimization method of Karnaugh - Print the pattern - Scheme in the electronic workshop

    €20 (Avg Bid)
    €20 Gns Bud
    4 bud

    Proiectul consta in ceea ce este scris si in titlu, pe langa simulare in Orcad trebuie sa mai cuprinda si un document scris cu tabele de adevar, diagrame Veitch-Karnaugh, functii booleene si graful de fluenta al automatului finit folosit. De exemplu: automat de cafea, bancomat.

    €154 (Avg Bid)
    €154 Gns Bud
    1 bud

    ...what your circuits do and how they do it. For example, you could explain any algorithms you implemented, any conditions or restrictions the user must observe to use the circuits, and the high level structure of your circuits at the block diagram level. Design details: This subsection is where you can go into the details of your design. It should contain any logical expressions you use, any Karnaugh maps or algebraic simplifications you performed, and any tables or state diagrams for sequential circuits. It should explain design techniques if they are not self-explanatory. It should refer to the detailed documentation (such as schematic diagrams) explicitly. This section should also contain a description of any unusual problems you had and how you solved them. Schematic Diag...

    €221 (Avg Bid)
    €221 Gns Bud
    5 bud

    this is a very short 3 questions task. First is you are given a Boolean expression and you have to reduce it using Boolean laws.(Note this is not very basic so dont bid thinking its an easy version. off course if you are good you can be done in probably five mins or less with this one. The second task is use the same boolean expression in the previous question and solve it using Using Karnaugh-maps, and third is to write a 32bit nasm assembly program that uses printf to print your name and age and adds an integer to it. I will provide the format and boiler plate for the assembly program. I need this to be done int an hour and a half max the program is barely about 7 lines of code. You will send me the source file and you can send me a scan or picture of the Boolean solutions

    €31 (Avg Bid)
    €31 Gns Bud
    10 bud

    Hello, I have uploaded a pdf of my homework assignment I need completed right away ( within the next hour at most) Please submit bids if you can complete the work on Karnaugh-maps thanks

    €26 (Avg Bid)
    €26 Gns Bud
    10 bud

    this project is a karnaugh map simulator with two inputs. this project is coded using java, jframes.

    €18 (Avg Bid)
    €18 Gns Bud
    15 bud

    This class is in Computer Organization and Design, 4th Ed, D. A. Patterson and J. L. Hennessy is the text we use, I have the pdf version and class slides required for this assignment logic function circuit diagram truth table Karnaugh maps to find simpler logic circuit functions Draw the symbolic diagram (no “black boxes” – show all gates) for each of the following. Use only AND, OR, and Inverter symbols – No XORs Given the following sequence of inputs, give the appropriate outputs: D Latch NOTE: show all appropriate steps where required

    €11 / hr (Avg Bid)
    €11 / hr Gns Bud
    5 bud
    verilooooggg Udløbet left

    The aim of this project is to design and implement a digital circuit that enables to find the minterms of a given Karnaugh map. You should design the circuit by using Verilog and implement on the FPGA boards in the laboratory. You will be given the truth table and you will give the information on the truth table as inputs to the system. Your circuit will determine minterms of the system as sum of products or product of sums. There will be another control on your circuit that will determine the type of function. You will also implement the same problem by using NAND gates only and give the function. The circuit will give outputs and you will use seven segment displays on the Xilinx FPGA board to represent the outputs. Your outputs on the screen will be the letters that repres...

    €150 (Avg Bid)
    €150 Gns Bud
    5 bud
    verilooger Udløbet left

    The aim of this project is to design and implement a digital circuit that enables to find the minterms of a given Karnaugh map. You should design the circuit by using Verilog and implement on the FPGA boards in the laboratory. You will be given the truth table and you will give the information on the truth table as inputs to the system. Your circuit will determine minterms of the system as sum of products or product of sums. There will be another control on your circuit that will determine the type of function. You will also implement the same problem by using NAND gates only and give the function. The circuit will give outputs and you will use seven segment displays on the Xilinx FPGA board to represent the outputs. Your outputs on the screen will be the letters that repres...

    €658 (Avg Bid)
    €658 Gns Bud
    2 bud

    The aim of this project is to design and implement a digital circuit that enables to find the minterms of a given Karnaugh map. You should design the circuit by using Verilog and implement on the FPGA boards in the laboratory. You will be given the truth table and you will give the information on the truth table as inputs to the system. Your circuit will determine minterms of the system as sum of products or product of sums. There will be another control on your circuit that will determine the type of function. You will also implement the same problem by using NAND gates only and give the function. The circuit will give outputs and you will use seven segment displays on the Xilinx FPGA board to represent the outputs. Your outputs on the screen will be the letters tha...

    €186 (Avg Bid)
    €186 Gns Bud
    1 bud

    The aim of this project is to design and implement a digital circuit that enables to find the minterms of a given Karnaugh map. You should design the circuit by using Verilog and implement on the FPGA boards in the laboratory. You will be given the truth table and you will give the information on the truth table as inputs to the system. Your circuit will determine minterms of the system as sum of products or product of sums. There will be another control on your circuit that will determine the type of function. You will also implement the same problem by using NAND gates only and give the function. The circuit will give outputs and you will use seven segment displays on the Xilinx FPGA board to represent the outputs. Your outputs on the screen will be the letters tha...

    €192 (Avg Bid)
    €192 Gns Bud
    5 bud

    ...jednego do czterech wejść. Następnie po wybraniu liczby wejść ma się pojawić tablica Karnaugh o odpowiednim rozmiarze. Następnie dla danych wartości zmiennych w tablicy należy wprowadzić wynik na wyjściu 0 lub 1 (uzupełnienie tablicy wartościami 0,1 poprzez kliknięcie w odpowiednich miejscach tablicy). Kolejnym krokiem jest minimalizacja funkcji metodą Karnaugh. Mowa jest tu o minimalizacji do prostszej postaci, a nie o znalezieniu najlepszego rozwiązania. Po minimalizacji i otrzymaniu uproszczonej funkcji należy wygenerować układ z dwuwejściowych bramek który ją realizuje, a następnie poinformować użytkownika o zakończeniu fazy syntezy. Faza syntezy może być wykonana na jednym widoku lub podzielona - tablica Karnaugh na drugim, a generowany układ na trzecim....

    min €2
    min €2
    0 bud

    This is a project for the digital electronics Logic Gates Solution using K - Map where the input is a large complex equation and the result is a simple equation result with the corresponding structure in minimized form

    €111 (Avg Bid)
    €111 Gns Bud
    7 bud

    ...want to ask you if you are familiar with Logic Gate and if you have any of this software Labview and Multisim as I need to build logic functions by using AND, NAND, OR, NOR and NOT logic gate chip. I need you to build me the logic into the software with the switches to satisfies the Boolean algebra expression I need · Truth Table for 2-bit adder 2-bit comparator · Karnaugh map for C, S1 and S0 · Boolean expression for them · Drawing the logic gate into the software and checking them I went through it everything working fine but didn't know how to connect them all together and didn't know how to do the 2-bit comparator and the circuit selection If this is that you can do then i can give ...

    €61 (Avg Bid)
    €61 Gns Bud
    11 bud

    4 questions on Karnaugh maps, combinational circuit and BCD, time frame 1 day, pm for questions. I have been let down twice now, please only bis if you have knowledge on Karnaugh maps and combinational. Simple questions shouldn't take more than a few hours.

    €63 (Avg Bid)
    €63 Gns Bud
    3 bud

    4 questions on Karnaugh maps, combinational circuit and BCD, time frame 1 day, pm for questions thanks

    €28 - €232
    €28 - €232
    0 bud

    ...+ (xz)’ + yz’ equivalent? Show the truth table for the function (w’x’)’ + wy’ +(x’y’)’ For the function (w+x)’(y’+z’)(w+x+y+z)’ use DeMorgan’s Law to find equivalent function with only AND gates. For the following Karnaugh map, show the maximum groupings (including don’t cares if appropriate) and minimal logic equation. wx yz 00 01 11 10 00 0 0 0 0 01 0 0 1 0 11 X X X X 10 1 1 0 1 Draw the circuit that implements the equation P2’P0’U + P1â...

    €28 (Avg Bid)
    €28 Gns Bud
    1 bud

    Convert a graph based logic network consisting of only OR, AND, INV, XOR, XNOR, NOR, and NAND into a single Karngaugh map. The network size is at most 5 inputs. The graph is represented using boost::graph, using an adjacency list. The result of this function will be a single bit_vector that represents the Karnaugh map of the outputs mapped. The type of graph is a template argument to the function. The function looks like this : template bit_vector<> convert_to_kmap ( GraphT & graph, std::vector< graph_traits::vertex_descriptor > inputs, graph_traits::vertex_descriptor sink ) ; where inputs[0] represents the lsb of the index into the K-map and inputs[n] represents the msb. inputs can be any range from 1 - 5, and result bitvect...

    €137 (Avg Bid)
    €137 Gns Bud
    3 bud
    Engineering Problem Udløbet left

    1. A full-adder is a one-bit binary adder. It takes to single-bit addends a and b, and also an incoming carry ci as inputs. It produces a sum and an outgoing carry co as outputs. Write the canonical Sum Of Products expression (a sum of minterms) for the carry output of a full adder, co(a,b,ci). 2. Minimize co(a,b,ci) using boolean algebra alone. 3. Minimize co(a,b,ci) using a Karnaugh Map (K-Map) 4. IMPLEMENT co(a,b,ci) using a) a multiplexer b) a decoder and an OR gate c) only two-input NAND gates 5. Consider a Finite State Machine with two inputs (X and Y) and an output Z. As long as X and Y are the same, Z should be 0 in each clock cycle. However, if X and Y are different in any clock cycle, then Z should be 1 and stay one for every cycle after that. One example sequence ...

    PHP
    €43 (Avg Bid)
    €43 Gns Bud
    7 bud

    The project should simulate an editor for systems of unspecified Boolean function. The number of the functions of the systems are specified by the user. The number of variables of every function in specified by the user.A function can be specified in 3 ways: -as a sum of minterms -as a product of maxterms -by Boolean combinations mapped into 1 The program should provide the KV(Karnaugh-Vaughn)diagram corresponding to every function. An interface should also be realized for the application ## Deliverables 1) Complete and fully-functional working program(s) in executable form as well as complete source code of all work done. 2) Deliverables must be in ready-to-run condition, as follows? (depending on the nature? of the deliverables): a)? For web sites or? other server-side de...

    €28 - €4645
    €28 - €4645
    0 bud
    Verilog 4 out 4 Udløbet left

    ...load/increment logic. • For the state machine logic, you can generate a control signal to represent each state based on the state variable. For example, to represent the RUNNING state: and and1 (running, state[1], not_state[0]); where not_state[0] is the inverse of state[0]. Control signals like running can help you determine next_state in a more straightforward manner than drawing out truth tables and Karnaugh maps. • For each bit of next_state, consider which next states have the bit high. Then, examine the case statement to determine what values of state and control signals will cause one of those next states to be assigned to the state register. For example......

    €55 (Avg Bid)
    €55 Gns Bud
    1 bud

    i have created a VB6.0 program to simulate the Karnaugh Map. The user can choose betwee 2/3/4 variable program. The user can then select which expressions by clicking the truth table or the K-map. The user then has the option to simplify or show the groupings. I am having problems coding the program to the the simplification. I can not get the program to simplify it too it's simpilest form. Please see attached files for details of progect. I will provide the entire source code if that is required. ## Deliverables 1) Complete and fully-functional working program(s) in executable form as well as complete source code of all work done. 2) Installation package that will install the software (in ready-to-run condition) on the platform(s) specified in this bid request. 3) Complete ...

    €26 (Avg Bid)
    €26 Gns Bud
    6 bud