Filtrér

Mine seneste søgninger
Filtrer ved:
Budget
til
til
til
Slags
Færdigheder
Sprog
    Job-status
    2,000 w5300 verilog vhdl jobs fundet, i prisklassen EUR
    Verilog project FSM Udløbet left

    Verilog project FSM Verilog project FSM Verilog project FSM

    €8 / hr (Avg Bid)
    €8 / hr Gns Bud
    1 bud

    I'm in need of a Verilog expert proficient with Quartus Prime Toolchain. Key Requirements: - Professional with Verilog: Need someone experienced in designing digital circuits and implementing specific functionalities using Verilog. - Proficiency with Quartus Prime: Familiarity with the Quartus Prime Toolchain is a must. I need to design, simulate, implement and test a digital circuit using the Quartus Prime toolchain as per the specifications I will provide and demonstrate the workflow when using the Verilog HDL to construct a design for a physical Field Programmable Gate Array (FPGA) target. Please apply if you have the required expertise. No teams or companies please.

    €102 (Avg Bid)
    €102 Gns Bud
    10 bud

    As part of this project, I need assistance in performing the following tasks: - Writing VHDL code - Designing FPGA circuits, specifically for Xilinx FPGA The goal is to achieve PWM via VHDL and PS to PL UART communication in the FPGA circuit design. It would be advantageous if you have a strong background in VHDL, FPGA circuit design and specific experience with Xilinx FPGA. Additionally, understanding of PWM and UART communication would be beneficial. Estoy buscando un ingeniero FPGAs y firmware para q haga un pequeño proyecto para hacerlo correr en una tarjeta de desarrollo Pynq-Z2 con el Sw Vivado. Se trata de implementar un PWM de valor de entrada variable, esto en VHDL para cargarlo en la PL de la Zynq. Se trataría de lo siguiente; a tr...

    €131 / hr (Avg Bid)
    NDA
    €131 / hr Gns Bud
    8 bud

    We are looking for an experienced freelancer to create a custom DMA firmware using this simple guide : The guide provides detailed instructions, but I lack the time to complete it myself. The project will be executed on a Squirrel 35t board and should not take more than 3-4 hours for someone pr...it myself. The project will be executed on a Squirrel 35t board and should not take more than 3-4 hours for someone proficient. **Tasks:** - Configure and customize firmware based on pcileech-fpga - Use Vivado for development - Emulate TLP and configure the configuration space **Required Skills:** - FPGA design and programming - Experience with Vivado (Xilinx) - DMA firmware development - Verilog/VHDL programming - Debugging and testing embedded systems

    €188 (Avg Bid)
    €188 Gns Bud
    8 bud

    I am looking for an experienced Verilog developer who can work on my Verilo HDL project. Design a digital circuit for a fruit sorter based on following specification. Develop the block diagram (consists of datapath and control units) and the ASMD chart. Assume that there is a 1-bit RESET signal to reset the circuit and it is asynchronous and active low. In addition, there is a 1-bit CLOCK as the clock. The circuit will start the operation when a 1-bit input signal START is asserted. A fruit detector provides a 1-bit input FRUIT that becomes 1 for one clock cycle if banana is detected and the FRUIT signal will be 1 for two clock cycles if orange is detected. There are 2 different outputs which are OUT1 and OUT2 that will be 1 for one clock cycle for the type of frui...

    €64 (Avg Bid)
    €64 Gns Bud
    1 bud

    ...into Verilog and run on FPGA device using HLS Vitis. The existing project has: - Edge detection capabilities - Image segmentation capabilities The primary goal of this project is not to enhance or alter the images, but to convert the existing codebase from C++ to Verilog, utilizing HLS Vitis. With your expertise: - Maintain the integrity of the current functionalities during conversion - Reframe the C++ code to Verilog language ensuring a seamless running on an FPGA device. The successful bidder should have significant experience with Verilog, C++, and HLS Vitis, as well as a good understanding of Image Processing algorithms, especially Edge Detection and Image Segmentation. The final output of the conversion should result in an image file product. The d...

    €350 (Avg Bid)
    €350 Gns Bud
    12 bud

    I'm currently seeking an individual who is not only proficient in VHDL coding but also in Quartus design implementation. Key Responsibilities: - Work on specific tasks related to VHDL coding - Implement design using Quartus While the overall aim of the project and the timeline aren't specified yet, I am eager to work with someone who is flexible and can adapt as per project needs. The ideal candidate for this role should be based in Pakistan, knowledgeable in FPGA programming, dependable, efficient, and proactive when it comes to troubleshooting and problem-solving.

    €368 (Avg Bid)
    €368 Gns Bud
    7 bud

    Greetings, We are assembling a dynamic team and currently seeking 4-5 proficient Electrical Engineers to join us for a long-term collaboration. This opportunity is ideal for individuals with expertise in electronics, power systems, and communication systems. Key Requirements: - Strong command over MATLAB for data analysis, simulation, and modeling. - Proficiency in VHDL and Verilog for hardware description and digital circuit design. - Experience with multisim or similar simulation software for circuit analysis and design verification. This collaboration offers an exciting chance to work on diverse projects spanning electronics, power systems, and communication systems. We are committed to fostering a collaborative environment that encourages innovation and professional gr...

    €10 / hr (Avg Bid)
    €10 / hr Gns Bud
    15 bud

    The goal of this project is using Vivado tools to enable a hardware implementation on an FPGA board. The key requirement from the FPGA board is high computational speed. Therefore, proficiency in Verilog language is preferred as I intend to implement the NTT algorithm. I am looking for a developer who is experienced with FPGA boards and Vivado tools. The chosen freelancer should also have the ability to maximize computing capabilities of the board for the said implementation.

    €286 (Avg Bid)
    €286 Gns Bud
    6 bud

    I need verilog code,testbench and simulation for this duty : Design a vector processing system that performs dot product of two vectors kept in the memory. The length of the vector is given as an input and at each clock cycle one element from each vector is multiplied and added. At the end of the processing a valid signal will be raised along with the result. Elements of the vectors are 8-bit unsigned vectors.

    €107 (Avg Bid)
    €107 Gns Bud
    11 bud

    I'm seeking a VHDL expert for a college project revolving around basic logic gates. The project involves primarily circuit design, with an emphasis on the following: - The project is centred around basic logic gates (AND, OR, NOT) - so the complexity level is relatively beginner-friendly - A key part of this task is the delivery of comprehensive project documentation along with the circuit design. This will help me understand the design process and the logic behind it. If you have experience in VHDL and can deliver both the circuit design and documentation, I'd love to hear from you. Please include details of similar projects you've worked on, as well as your experience level with VHDL.

    €17 / hr (Avg Bid)
    €17 / hr Gns Bud
    16 bud

    I need a talented RTL designer, proficient in Verilog, to carry out an NTT Implementation project focused on dataflow modeling. Key Requirements: - Expertise in Verilog, with a deep understanding and application of dataflow modeling - Prior experience in RTL design and synthesis - The main goal for this task is to achieve optimization of the design using your Verilog expertise - Attention to detail, punctuality, and efficient communication skills are a must This project offers an opportunity to work with an interesting model and explore optimized NTT implementation. Your contribution to this project will be influential in achieving an optimized design.

    €153 (Avg Bid)
    €153 Gns Bud
    3 bud

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    €433 (Avg Bid)
    €433 Gns Bud
    16 bud

    I'm in need of skilled programmers to develop interfaces for my Place and Route EDA flows. The ideal candidate will have experience in the following: - Proficiency in Python and/or C++ - Familiarity with VHDL, Verilog, and SystemVerilog - Experience in file input generation - Strong file parsing capabilities - Ability to manage EDA flows using TCL The interfaces need to be able to handle the entire EDA flow, from file input generation to error reporting. Experience in developing similar interfaces will be a big advantage. Please include relevant work samples in your bid.

    €24 / hr (Avg Bid)
    €24 / hr Gns Bud
    29 bud

    I'm in urgent need of skilled VHDL/Quartus professionals from Pakistan for a project. I will clarify the specifics once a mutual understanding and agreement is reached. Ideal skills for the job include: - Proficiency in VHDL/Quartus - Ability to design, troubleshoot and optimize digital circuits - Ability to work independently or with minimal supervision - Excellent communication skills to effectively explain intricate concepts or problems Experience level can range from beginner to expert. The expectation, however, is the ability to deliver quality work within the stipulated time-frame.

    €193 (Avg Bid)
    €193 Gns Bud
    4 bud

    I am currently working on a traffic light project and I need the expertise of a VHDL programming guru. Someone who has had previous experience programming the FPGA DEO Nano development board would be a perfect fit, as that's what I am specifically working with. I am using VHDL to code for the EP4CE22F17C6N board. The base of my project, using a state machine, has already been created. As far as the hardware end of things, I've already prepared the circuit diagram and have started with LED lights and toggle switches. But I do need to make some changes in it as the requirement in order to make it more complex for that I need someone who can do the following additions or changes in the project that I have attached in my zip folder to work exactly as described in the ...

    €131 (Avg Bid)
    €131 Gns Bud
    7 bud
    10000 Udløbet left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    €110 (Avg Bid)
    €110 Gns Bud
    1 bud

    hello, I have a project and I'm stuck at some point, please see the specifications in the zip it's for tomorrow morning budget:20$ language:french,arabic actually no need for much because I have already done rendering 1 and rendering...for tomorrow morning budget:20$ language:french,arabic actually no need for much because I have already done rendering 1 and rendering 2 of the project, now for rendering 3, I just need to modify the block diagrams, the truth table, the state graph and the memory map (which are all done during rendering 1) according to the modifications requested by the workbook. load... We don't need coding in vhdl, just make the modifications on rendering 1 according to the instructions for rendering 3 if ever we can do a 10 minute meeting to e...

    €21 (Avg Bid)
    €21 Gns Bud
    3 bud

    I'm seeking an experienced trainer for Spyglass tool, with a concentration on Lint and CDC (Clock Domain Crossing). As beginners in Spyglass and proficient in Verilog, we primarily aim to identify and fix coding errors through this training. Ideal Skills and Experience: - Strong knowledge of Lint and CDC in Spyglass tool - Demonstrated experience in coding and debugging in Verilog - Excellent training skills - Ability to create and simplify complex concepts for beginners.

    €10 / hr (Avg Bid)
    €10 / hr Gns Bud
    5 bud

    ...programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrate a clear understanding of Verilog and V...

    €165 (Avg Bid)
    €165 Gns Bud
    12 bud

    ...programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrate a clear understanding of Verilog and V...

    €89 (Avg Bid)
    €89 Gns Bud
    4 bud

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    €16 / hr (Avg Bid)
    €16 / hr Gns Bud
    11 bud

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising AI models for real-time FPGA implementation...

    €153 (Avg Bid)
    €153 Gns Bud
    7 bud

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    €199 (Avg Bid)
    €199 Gns Bud
    10 bud

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detection text on the video feed...Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multipixel z...

    €55 (Avg Bid)
    €55 Gns Bud
    2 bud
    Project VHDL Udløbet left

    Hi ExpertSoul, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    €230 (Avg Bid)
    €230 Gns Bud
    1 bud

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both sensor...

    €144 (Avg Bid)
    €144 Gns Bud
    13 bud

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both sensor...

    €81 (Avg Bid)
    Haster
    €81 Gns Bud
    8 bud

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    €40 (Avg Bid)
    €40 Gns Bud
    5 bud

    My project requires the efficient application of Gaussian filtering in Verilog specifically for enhancing image details. The image type for this task is RGB, and the intended result should lead to clear, detailed images showcasing the potential of Gaussian filters. Key requirements include: - Applying Gaussian filtering to provide image enhancement - Working specifically with RGB images - Delivery of processed images in JPEG format Given the technical nature of this project, proficiency in Verilog and image processing is crucial. A deep understanding of Gaussian filtering algorithms is also necessary. Experience with image manipulation software would be a bonus. This project is ideal for freelancers who are detail-oriented and are adept at transforming complex requirements...

    €79 (Avg Bid)
    €79 Gns Bud
    4 bud

    I'm seeking a proficient VHDL engineer to assist in the development of digital signal processing on a Basys Board 3, requiring knowledge in digital and analog inputs and outputs. The specifics of the digital signal processing algorithm are unclear at this stage due to omitted information. Key skills and experience needed: - Proficiency with VHDL and Basys Board 3 - Sound understanding of both analog and digital inputs and outputs - Aptitude for problem-solving and working with incomplete details - Prior experience in digital signal processing is advantageous.

    €512 (Avg Bid)
    €512 Gns Bud
    26 bud

    I'm seeking an experienced and detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must...Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality over speed. However, I am eager to commence with the right cand...

    €500 (Avg Bid)
    €500 Gns Bud
    29 bud

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    €13 (Avg Bid)
    €13 Gns Bud
    5 bud

    I am looking for a skilled Verilog coder with experience in advanced digital circuit design and implementation. Tasks will involve designing and implementing complex circuits, specifically those involving CPUs or intricate state machines. Key Responsibilities: - Design and implement advanced digital circuits - Test and debug created designs - Maintain documentation of design process and circuit function Skills & Experience: - Expertise in Verilog coding - Experience with complex digital circuit design and implementation - Familiarity with CPUs and complex state machines - Proficiency in using Xilinx Vivado for running Verilog simulations Please ensure you have this experience before placing a bid on this project.

    €120 (Avg Bid)
    €120 Gns Bud
    8 bud

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    €85 (Avg Bid)
    €85 Gns Bud
    22 bud

    I'm in need of an individual skilled in Verilog who can help me achieve a specific task. - Task: Your main responsibility would be writing Verilog code for a simple module implementation. This does not involve complex system level code designs or CPU architecture. - Objective: The primary objective of the module is to model a specific digital logic circuit. The project does not require interaction with other modules or utilization of specific hardware components. The ideal candidate would possess: - Solid experience in Verilog coding, - Expertise in digital circuits, - Strong understanding of digital logic circuits, - A meticulous approach to ensure accuracy in modeling the required digital logic circuit. If you are passionate about Verilog and love c...

    €99 (Avg Bid)
    €99 Gns Bud
    13 bud

    ...achieving a specific task. I already have a code for core RISC-V in system Verilog and need help running it in my Linux based virtual machine. The final objective includes enabling a basic load counter and comprehending the code thoroughly. need to create a presentation for my Verilog project. For the first task, I need to count the number of instructions entering the pipeline after a mispredicted branch, which is important for security. I need to run a test code to measure performance and count the flushed instructions. Can you help with this in Verilog? We can work together on it and complete the presentation.? To achieve the listed tasks, the freelancer should possess: - Expert knowledge and experience in working with Verilog, - Proficiency in executi...

    €10 / hr (Avg Bid)
    €10 / hr Gns Bud
    6 bud

    I am seeking a proficient electronic engineer with an in-depth understanding of VHDL (high level logic design) it's related to xlinx and vivado

    €25 (Avg Bid)
    €25 Gns Bud
    7 bud

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Expertise in schematic ...

    €48 / hr (Avg Bid)
    €48 / hr Gns Bud
    7 bud
    Review VHDL Code Udløbet left

    We've written some VHDL code for FPGA we need someone to adapt it to our coding standard. we will share a report of all violations for a set of files and the VHDL code shall be modified as specified in the coding standard. To make an example all signals shall be names s_<signal_name>, signal test_sig : std_logic; -- violation! signal s_test_sig : std_logic; -- correct the code will be shared with a Gitlab repo, a dedicatd branch will be created to modify the original code. the code shall be compiled, to chekc no errors were introduced. as soon as the code is delivered we wil rerun the checker to chek for residual errors, and we would provide a feedback (unless the freelancer has the same checker tool)

    €444 (Avg Bid)
    €444 Gns Bud
    26 bud

    I'm currently in search of an expert in electronics, Specifically FPGA's and Verilog who can assist in creating a 32 channel logic analyzer. The analyzer's primary role will be to facilitate the debugging of digital circuits, analyzing serial communications, and examining micro-controller signals and digital protocols. Key Responsibilities: - Design and create an analyzer able to decipher multiple digital protocols including CAN, SPI, I2C, UART, RS422, RS485, i2C 1-Wire, and SIM devices. - Equip the analyzer with a unique functionality to understand and interpret data in custom HEX, Bianry and ASCII formats. Ideal Experience and Skills: - Proficient in digital protocols and encoding. - Extensive experience in electronics, especially in creating and debugging logic ...

    €536 (Avg Bid)
    €536 Gns Bud
    32 bud

    Its about vintage videogames I have a project that make emulation of cartridges. Each original Cartridge have circuits with ASIC customs called " mappers ". Theses mappers circuits are not available for sale, because was made in 90 years. Some hackers rebuild functionality of Asics throught verilog, and implemented in a single cartdridge with ALL mappers inside. In Github are The opensource project , and ALL mappers writed in verilog are available separated. My Idea is take one a one mapper and generate a output file and burn in individual hardware , like Xillinks XC9572 or XC95144 because The original project use hard weight hardware,like a

    €479 (Avg Bid)
    €479 Gns Bud
    12 bud

    Hi, I need someone really experienced in FPGA, DSP, and specifically IFF signlas. I need to create an algorithim to detecet different modes of IFF signals and classify them. then display the data of the signals. I will aslo need someone to finalize the verilog code, help me with testing, debugging of my project, it will be a long time agreement not just a task to be done. I am hoping to collaborate with a diligent engineer who accurately interprets given instructions and is capable of delivering quality work. I am looking forward to your proposals.

    €552 (Avg Bid)
    €552 Gns Bud
    14 bud

    I need someone proficient in FPGA programming and matrix manipulations to develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing proc...develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing processes for small-sized matrices • Ability to handle medium-sized matrices • Building the necessary verilog code and TB to facilitate and optimize the matrix multiplication on the PYNQ boards Here are the critical skills and experience needed for the job: • Familiarity with PYNQ FPGA programming • Experience working with PYNQ Boards • Deep understanding of matrix operations • Proficient...

    €36 (Avg Bid)
    €36 Gns Bud
    2 bud

    Bundle of 4 entry level Logic Design outputs --->Verilog , 4-bit wide 2:1 MUX ,Mealy machine , and a little more

    €120 (Avg Bid)
    €120 Gns Bud
    25 bud

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. And tested using exported bitstream binaries. Note: if ...

    €7583 (Avg Bid)
    €7583 Gns Bud
    8 bud

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. Note: if you want to make a bid, please respond with yo...

    €3791 (Avg Bid)
    NDA
    €3791 Gns Bud
    4 bud

    As an FPGA expert, my project demands crucial implementation and testing of FPGA-based systems, along with adept ...transferred and received properly coz its serial data. if the data received equal to certain vaule ( the value i serialized before sending ) then LED is on, or use a method to display the received data. I am also forwarding 2 clocks that i need to receive at the same frequency they were set in the input. Critical Skills - Proficiency in Verilog - Prevailing experience with Xilinx FPGAs Ideal freelancers will possess substantial experience using Verilog for FPGA programming and have a strong background in Xilinx products. Solid debugging skills and the ability to devise efficient tests for FPGA-based systems are a must. ALL CODES ARE DONE, I JUST NEED SOMEONE...

    €52 (Avg Bid)
    €52 Gns Bud
    7 bud

    ...the specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The chall...

    €51845 (Avg Bid)
    €51845 Gns Bud
    9 bud

    ...project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware design. - Prior projects or experience in FPGA-based design, especially those involving DSP or video processing. - Proficient in C/C++ for algorithm development and HDL (VHDL/Verilog) for hardware description. - Knowledge of optimization techniques for power efficiency and performance maximization in FPGA designs. - Ability to work with simulation tools and perform thorough validation and testing of the designed hardware. My project calls for an innovative and technically skilled freelancer who can navigate the complexities of advanced FPGA design. I...

    €14 / hr (Avg Bid)
    €14 / hr Gns Bud
    9 bud